[[FrontPage]]

#contents

2009/05/01からのアクセス回数 &counter;

実際にプログラミングしてみると雑誌や本に書かれていないことがわかるものです。

今回は、
- VHDLのテストベンチは記述量が多く、使いにくい
- 部品の結合は、VHDLでなく、回路図で行って、ツールを使ってVHDLに変換する

のがよいことが分かりました。(とてもいい収穫でした)

** はじめに [#ncabc079]
トランジスタ技術2006年4月付録のMAX IIと12月号から連載された「実験で学ぶロジック回路設計」
の教材だったHDL-CQ1を使ってCPLDのプログラミングをしてみます。

特集では、
- 回路図からの設計
- VHDLでの設計

の順で説明していますので、それにそって試してみます。

HDL-CQ1では、SELECTOR信号で7セグメントLEDを切り替えているため、
特集の第4章の例題は1個の7セグメントLEDを使うようにしました。

写真は、MANCLKのスイッチでカウントアップしている途中の様子です。

&ref(Counter.jpg);

** 開発環境 [#h8ec36ef]
AlteraのQuartus II の最新のver.9.1は、Windows2000をサポートしていないので、開発環境ではVM Ware上にVer.7.1をインストールしました。

Ver.8.1より以前のQuartus IIではMacアドレスを送ってライセンスをAlteraから取得しますので、VM Ware
のMacアドレスを固定にする必要があります。

VMイメージのvmxファイルをテキストエディタで開いて、以下の行を追加・変更します。

#pre{{
ethernet0.addressType = "static"
ethernet0.address = "00:50:56:00:43:88"
}}
Macアドレスは、00:50:56までは固定で、残りは自由に設定してもよいそうです。

また、以下のツールもインストールしました。
- ModelSim-Altera 6.1g Web Edition
- Veritak-Lite Ver. 2.05A7 (CQ版)

** 回路図の作成 [#u0045637]
回路図の書き方は、特集の記事にそってButtonCount_topを以下のように書きました。

&ref(top_layout.jpg);

コネクタの配置は、
- MAX II付録ボードの配置は、&ref(BoardAndPinLayout.pdf);
- HDL-CQ1の回路は、&ref(HDL-CQ1.png);

を参照し、以下のようにピンの配置をしました。

&(ping_setting.jpg);

** コメント [#s330924c]
この記事は、

#vote(おもしろかった,そうでもない,わかりずらい)

皆様のご意見、ご希望をお待ちしております。

#comment_kcaptcha


トップ   新規 一覧 単語検索 最終更新   ヘルプ   最終更新のRSS
SmartDoc