LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_unsigned.ALL; ENTITY Decode7Segment IS PORT( A : IN std_logic; B : IN std_logic; C : IN std_logic; D : IN std_logic; LED_A : out std_logic; LED_B : out std_logic; LED_C : out std_logic; LED_D : out std_logic; LED_E : out std_logic; LED_F : out std_logic; LED_G : out std_logic ); END Decode7Segment; ARCHITECTURE rtl of Decode7Segment IS SIGNAL number : std_logic_vector(3 downto 0); BEGIN number(0) <= A; number(1) <= B; number(2) <= C; number(3) <= D; LED_A <= '0' when number = 0 else '1' when number = 1 else '0' when number = 2 else '0' when number = 3 else '1' when number = 4 else '0' when number = 5 else '1' when number = 6 else '0' when number = 7 else '0' when number = 8 else '0' when number = 9 else '1'; LED_B <= '0' when number = 0 else '0' when number = 1 else '0' when number = 2 else '0' when number = 3 else '0' when number = 4 else '1' when number = 5 else '1' when number = 6 else '0' when number = 7 else '0' when number = 8 else '0' when number = 9 else '1'; LED_C <= '0' when number = 0 else '0' when number = 1 else '1' when number = 2 else '0' when number = 3 else '0' when number = 4 else '0' when number = 5 else '0' when number = 6 else '0' when number = 7 else '0' when number = 8 else '0' when number = 9 else '1'; LED_D <= '0' when number = 0 else '1' when number = 1 else '0' when number = 2 else '0' when number = 3 else '1' when number = 4 else '0' when number = 5 else '0' when number = 6 else '1' when number = 7 else '0' when number = 8 else '1' when number = 9 else '1'; LED_E <= '0' when number = 0 else '1' when number = 1 else '0' when number = 2 else '1' when number = 3 else '1' when number = 4 else '1' when number = 5 else '0' when number = 6 else '1' when number = 7 else '0' when number = 8 else '1' when number = 9 else '1'; LED_F <= '0' when number = 0 else '1' when number = 1 else '1' when number = 2 else '1' when number = 3 else '0' when number = 4 else '0' when number = 5 else '0' when number = 6 else '1' when number = 7 else '0' when number = 8 else '0' when number = 9 else '1'; LED_G <= '1' when number = 0 else '1' when number = 1 else '0' when number = 2 else '0' when number = 3 else '0' when number = 4 else '0' when number = 5 else '0' when number = 6 else '1' when number = 7 else '0' when number = 8 else '0' when number = 9 else '1'; end rtl;