[[FrontPage]]

#contents

2014/05/05からのアクセス回数 &counter;

** 74シリーズの代わりに使う [#o561fa4b]
安曇野電子から、アルテラCPLD MAX3000Aを使ったモジュール
[[AZMMAX3000AT1RB>http://www.azumino-denshi.com/SHOP/19095/19096/list.html]]
が販売されています。クリスタルも付いていないボードですが、両端のコネクターに
上下にピンを付けると、ブレッドボードに納まり、74HCシリーズの代わりに使えると
思って試してみました。

&ref(AZMMAX3000AT1RB.png);



*** テスト用のブレッドボード [#zb5033af]
ボードのテストのために、LED2個とタクトスイッチ2個をブレッドボードに付けて
負論理で動作するようにしました。
TerasicBlasterのケーブルは、赤の線が1番ピンになるように接続します。

&ref(MAX3000_bread.png);



** プロジェクトの作成 [#x0f7c289]
アルテラのQuartus II 13.0.1を使って、プログラミングしていきます。

*** LEDとスイッチのテスト [#mc43ab6e]
最初は、スイッチを押すとLEDが点灯する例題です。
((この例は、MAX2Ktopで負論理の信号を正に変換しています。))


- File→New Project Wizard…を選択、説明分を確認して次に進む
- プロジェクトフォルダの指定、プロジェクト名は、swled、トップMAX2Ktopとする
- File …を開いて、以下のMAX2Ktop.vを選択し、Addする

#pre{{
`default_nettype none

module MAX2Ktop(
     input       wire [1:0]     SW_N,     // Switch (active low)
     output     wire [1:0]     LED_N     // LED
);
    
  // internal signal (active high)    
     wire [1:0]     sw;
     wire [1:0]     led;
  // active level conversion to positive logic 
     assign LED_N     = ~led;
     assign sw          = ~SW_N;
  // core module instantiation or additional logic
  assign led = sw;    
endmodule    
}}

- Deviceの選択で、MAX3000Aを選択肢、EPM3064ATC44-10を選択する

&ref(th_Device.jpg);



- Processing→Start→Start Analysis & Synthesisを選択し、プロジェクトDBを作成する


- Assignments→Pin Plannerを選択する
今回は、CN2-5, 7, 9, 11を使用し、ピンは、PIN_33, PIN_28, PIN_27, PIN_23をそれぞれ割り当てる。

&ref(th_Pin_Planner.jpg);



- Tool→Programmerを選択し、EPM3064AT44のProgram/Congiure, Verifyにチェックを付けて、Startボタンを押します
この時、AZMMAX3000AT1RBにも電源を供給してください。

&ref(th_Programmer.jpg);



これで、書き込みは完了です。タクトスイッチを押すとLEDが点灯することを確認して下さい。


** 回路図を使って設計する [#s3a021f6]
今度は、回路図使って設計する方法を使ってみます。


- File→New Project Wizard…を選択、説明分を確認して次に進む
- プロジェクトフォルダの指定、プロジェクト名は、sw2led_shmとします
- File …を開いて、newを選択し、Block Diagram/Schematic Fileを選んでOKを押します

&ref(New_menu.png);



すぐに、save asでsw2led_shm.bdfと保存します。


今度は、回路図で右クリック、symbol…を選択し、
- quartus/libraries/others/maxplus2の7400を挿入
- quartus/libraries/primitives/pin/inputを2個挿入
- quartus/libraries/primitives/pin/outputを2個挿入

Node Toolを使って以下のように結線します。

&ref(1st_schem.png);



- Processing→Start→Start Analysis & Synthesisを選択し、プロジェクトDBを作成する

- Assignments→Pin Plannerを選択する
HDLと同様にCN2-5, 7, 9, 11を使用し、ピンは、PIN_33, PIN_28, PIN_27, PIN_23をそれぞれ割り当てる。


割り当てたピンは、回路図にも表示される。


&ref(2nd_schem.png);




- Tool→Programmerを選択し、EPM3064AT44のProgram/Congiure, Verifyにチェックを付けて、Startボタンを押して書き込みます


これで、部品がなくても、MAX3000Aを使って実験ができます。


** コメント [#i889d8f5]
#vote(おもしろかった[2],そうでもない[0],わかりずらい[0])


皆様のご意見、ご希望をお待ちしております。勉強会で分からなかったこと等、お気軽に問い合わせて下さい。

スパム防止に画像の文字列も入力してください。
#comment_kcaptcha

トップ   新規 一覧 単語検索 最終更新   ヘルプ   最終更新のRSS
SmartDoc